summaryrefslogtreecommitdiff
path: root/src/test/scala
diff options
context:
space:
mode:
authorJim Lawson2016-07-25 14:06:51 -0700
committerJim Lawson2016-07-25 17:07:33 -0700
commit7aa05590382b0528799ad5e9f1318ce42e409793 (patch)
tree9af7c7513f60efa30c59172a234a8f2926b5430f /src/test/scala
parent3624751e2e63ba9f107c795529edfe48cf8340b2 (diff)
Minimize differences with master.
Remove .Lit(x) usage. Undo "private" scope change. Change "firing" back to "fire". Add package level NODIR definition.
Diffstat (limited to 'src/test/scala')
-rw-r--r--src/test/scala/chiselTests/Assert.scala4
-rw-r--r--src/test/scala/chiselTests/BitwiseOps.scala8
-rw-r--r--src/test/scala/chiselTests/BlackBox.scala30
-rw-r--r--src/test/scala/chiselTests/BundleWire.scala8
-rw-r--r--src/test/scala/chiselTests/ComplexAssign.scala12
-rw-r--r--src/test/scala/chiselTests/Counter.scala8
-rw-r--r--src/test/scala/chiselTests/Decoder.scala2
-rw-r--r--src/test/scala/chiselTests/Direction.scala4
-rw-r--r--src/test/scala/chiselTests/MemorySearch.scala6
-rw-r--r--src/test/scala/chiselTests/Module.scala4
-rw-r--r--src/test/scala/chiselTests/MulLookup.scala6
-rw-r--r--src/test/scala/chiselTests/Printf.scala6
-rw-r--r--src/test/scala/chiselTests/Reg.scala2
-rw-r--r--src/test/scala/chiselTests/Risc.scala2
-rw-r--r--src/test/scala/chiselTests/SIntOps.scala6
-rw-r--r--src/test/scala/chiselTests/Stack.scala12
-rw-r--r--src/test/scala/chiselTests/Tbl.scala10
-rw-r--r--src/test/scala/chiselTests/TesterDriverSpec.scala4
-rw-r--r--src/test/scala/chiselTests/UIntOps.scala4
-rw-r--r--src/test/scala/chiselTests/Vec.scala12
-rw-r--r--src/test/scala/chiselTests/When.scala36
21 files changed, 93 insertions, 93 deletions
diff --git a/src/test/scala/chiselTests/Assert.scala b/src/test/scala/chiselTests/Assert.scala
index bf3c8092..efc2e1e7 100644
--- a/src/test/scala/chiselTests/Assert.scala
+++ b/src/test/scala/chiselTests/Assert.scala
@@ -27,8 +27,8 @@ class SucceedingAssertTester() extends BasicTester {
class PipelinedResetModule extends Module {
val io = IO(new Bundle { })
- val a = Reg(init = UInt.Lit(0xbeef))
- val b = Reg(init = UInt.Lit(0xbeef))
+ val a = Reg(init = UInt(0xbeef))
+ val b = Reg(init = UInt(0xbeef))
assert(a === b)
}
diff --git a/src/test/scala/chiselTests/BitwiseOps.scala b/src/test/scala/chiselTests/BitwiseOps.scala
index 0aaa3c57..08999a1b 100644
--- a/src/test/scala/chiselTests/BitwiseOps.scala
+++ b/src/test/scala/chiselTests/BitwiseOps.scala
@@ -11,10 +11,10 @@ class BitwiseOpsTester(w: Int, _a: Int, _b: Int) extends BasicTester {
val mask = (1 << w) - 1
val a = UInt(_a, w)
val b = UInt(_b, w)
- assert(~a === UInt.Lit(mask & ~_a))
- assert((a & b) === UInt.Lit(_a & _b))
- assert((a | b) === UInt.Lit(_a | _b))
- assert((a ^ b) === UInt.Lit(_a ^ _b))
+ assert(~a === UInt(mask & ~_a))
+ assert((a & b) === UInt(_a & _b))
+ assert((a | b) === UInt(_a | _b))
+ assert((a ^ b) === UInt(_a ^ _b))
stop()
}
diff --git a/src/test/scala/chiselTests/BlackBox.scala b/src/test/scala/chiselTests/BlackBox.scala
index 9b43f0ef..c1154883 100644
--- a/src/test/scala/chiselTests/BlackBox.scala
+++ b/src/test/scala/chiselTests/BlackBox.scala
@@ -35,11 +35,11 @@ class BlackBoxTester extends BasicTester {
val blackBoxPos = Module(new BlackBoxInverter)
val blackBoxNeg = Module(new BlackBoxInverter)
- blackBoxPos.io.in := UInt.Lit(1)
- blackBoxNeg.io.in := UInt.Lit(0)
+ blackBoxPos.io.in := UInt(1)
+ blackBoxNeg.io.in := UInt(0)
- assert(blackBoxNeg.io.out === UInt.Lit(1))
- assert(blackBoxPos.io.out === UInt.Lit(0))
+ assert(blackBoxNeg.io.out === UInt(1))
+ assert(blackBoxPos.io.out === UInt(0))
stop()
}
@@ -54,15 +54,15 @@ class MultiBlackBoxTester extends BasicTester {
val blackBoxPassPos = Module(new BlackBoxPassthrough)
val blackBoxPassNeg = Module(new BlackBoxPassthrough)
- blackBoxInvPos.io.in := UInt.Lit(1)
- blackBoxInvNeg.io.in := UInt.Lit(0)
- blackBoxPassPos.io.in := UInt.Lit(1)
- blackBoxPassNeg.io.in := UInt.Lit(0)
+ blackBoxInvPos.io.in := UInt(1)
+ blackBoxInvNeg.io.in := UInt(0)
+ blackBoxPassPos.io.in := UInt(1)
+ blackBoxPassNeg.io.in := UInt(0)
- assert(blackBoxInvNeg.io.out === UInt.Lit(1))
- assert(blackBoxInvPos.io.out === UInt.Lit(0))
- assert(blackBoxPassNeg.io.out === UInt.Lit(0))
- assert(blackBoxPassPos.io.out === UInt.Lit(1))
+ assert(blackBoxInvNeg.io.out === UInt(1))
+ assert(blackBoxInvPos.io.out === UInt(0))
+ assert(blackBoxPassNeg.io.out === UInt(0))
+ assert(blackBoxPassPos.io.out === UInt(1))
stop()
}
@@ -77,7 +77,7 @@ class BlackBoxWithClockTester extends BasicTester {
blackBox.io.in := impetus
model := impetus
- when(cycles > UInt.Lit(0)) {
+ when(cycles > UInt(0)) {
assert(blackBox.io.out === model)
}
when(end) { stop() }
@@ -98,8 +98,8 @@ class BlackBoxWithParamsTester extends BasicTester {
val (cycles, end) = Counter(Bool(true), 4)
- assert(blackBoxOne.io.out === UInt.Lit(1))
- assert(blackBoxFour.io.out === UInt.Lit(4))
+ assert(blackBoxOne.io.out === UInt(1))
+ assert(blackBoxFour.io.out === UInt(4))
when(end) { stop() }
}
diff --git a/src/test/scala/chiselTests/BundleWire.scala b/src/test/scala/chiselTests/BundleWire.scala
index 3d3d58f3..0071041c 100644
--- a/src/test/scala/chiselTests/BundleWire.scala
+++ b/src/test/scala/chiselTests/BundleWire.scala
@@ -25,11 +25,11 @@ class BundleWire(n: Int) extends Module {
class BundleWireTester(n: Int, x: Int, y: Int) extends BasicTester {
val dut = Module(new BundleWire(n))
- dut.io.in.x := UInt.Lit(x)
- dut.io.in.y := UInt.Lit(y)
+ dut.io.in.x := UInt(x)
+ dut.io.in.y := UInt(y)
for (elt <- dut.io.outs) {
- assert(elt.x === UInt.Lit(x))
- assert(elt.y === UInt.Lit(y))
+ assert(elt.x === UInt(x))
+ assert(elt.y === UInt(y))
}
stop()
}
diff --git a/src/test/scala/chiselTests/ComplexAssign.scala b/src/test/scala/chiselTests/ComplexAssign.scala
index fce2c602..0a1f31cc 100644
--- a/src/test/scala/chiselTests/ComplexAssign.scala
+++ b/src/test/scala/chiselTests/ComplexAssign.scala
@@ -26,19 +26,19 @@ class ComplexAssign(w: Int) extends Module {
io.out.re := tmp.re
io.out.im := tmp.im
} .otherwise {
- io.out.re := UInt.Lit(0)
- io.out.im := UInt.Lit(0)
+ io.out.re := UInt(0)
+ io.out.im := UInt(0)
}
}
class ComplexAssignTester(enList: List[Boolean], re: Int, im: Int) extends BasicTester {
val (cnt, wrap) = Counter(Bool(true), enList.size)
val dut = Module(new ComplexAssign(32))
- dut.io.in.re := UInt.Lit(re)
- dut.io.in.im := UInt.Lit(im)
+ dut.io.in.re := UInt(re)
+ dut.io.in.im := UInt(im)
dut.io.e := Vec(enList.map(Bool(_)))(cnt)
- val re_correct = dut.io.out.re === Mux(dut.io.e, dut.io.in.re, UInt.Lit(0))
- val im_correct = dut.io.out.im === Mux(dut.io.e, dut.io.in.im, UInt.Lit(0))
+ val re_correct = dut.io.out.re === Mux(dut.io.e, dut.io.in.re, UInt(0))
+ val im_correct = dut.io.out.im === Mux(dut.io.e, dut.io.in.im, UInt(0))
assert(re_correct && im_correct)
when(wrap) {
stop()
diff --git a/src/test/scala/chiselTests/Counter.scala b/src/test/scala/chiselTests/Counter.scala
index af2fa550..69d8a44a 100644
--- a/src/test/scala/chiselTests/Counter.scala
+++ b/src/test/scala/chiselTests/Counter.scala
@@ -12,20 +12,20 @@ import chisel3.util._
class CountTester(max: Int) extends BasicTester {
val cnt = Counter(max)
when(Bool(true)) { cnt.inc() }
- when(cnt.value === UInt.Lit(max-1)) {
+ when(cnt.value === UInt(max-1)) {
stop()
}
}
class EnableTester(seed: Int) extends BasicTester {
- val ens = Reg(init = UInt.Lit(seed))
+ val ens = Reg(init = UInt(seed))
ens := ens >> 1
val (cntEnVal, _) = Counter(ens(0), 32)
val (_, done) = Counter(Bool(true), 33)
when(done) {
- assert(cntEnVal === UInt.Lit(popCount(seed)))
+ assert(cntEnVal === UInt(popCount(seed)))
stop()
}
}
@@ -33,7 +33,7 @@ class EnableTester(seed: Int) extends BasicTester {
class WrapTester(max: Int) extends BasicTester {
val (cnt, wrap) = Counter(Bool(true), max)
when(wrap) {
- assert(cnt === UInt.Lit(max - 1))
+ assert(cnt === UInt(max - 1))
stop()
}
}
diff --git a/src/test/scala/chiselTests/Decoder.scala b/src/test/scala/chiselTests/Decoder.scala
index ee892fc5..b50a80c0 100644
--- a/src/test/scala/chiselTests/Decoder.scala
+++ b/src/test/scala/chiselTests/Decoder.scala
@@ -24,7 +24,7 @@ class DecoderTester(pairs: List[(String, String)]) extends BasicTester {
val dut = Module(new Decoder(bitpats))
dut.io.inst := Vec(insts.map(UInt(_)))(cnt)
when(!dut.io.matched) {
- assert(cnt === UInt.Lit(0))
+ assert(cnt === UInt(0))
stop()
}
when(wrap) {
diff --git a/src/test/scala/chiselTests/Direction.scala b/src/test/scala/chiselTests/Direction.scala
index 2fe31475..83484a64 100644
--- a/src/test/scala/chiselTests/Direction.scala
+++ b/src/test/scala/chiselTests/Direction.scala
@@ -15,11 +15,11 @@ class DirectionHaver extends Module {
}
class GoodDirection extends DirectionHaver {
- io.out := UInt.Lit(0)
+ io.out := UInt(0)
}
class BadDirection extends DirectionHaver {
- io.in := UInt.Lit(0)
+ io.in := UInt(0)
}
class DirectionSpec extends ChiselPropSpec with ShouldMatchers {
diff --git a/src/test/scala/chiselTests/MemorySearch.scala b/src/test/scala/chiselTests/MemorySearch.scala
index e4063532..1d09f3c5 100644
--- a/src/test/scala/chiselTests/MemorySearch.scala
+++ b/src/test/scala/chiselTests/MemorySearch.scala
@@ -17,11 +17,11 @@ class MemorySearch extends Module {
val elts = Vec(vals.map(UInt(_,4)))
// val elts = Mem(UInt(width = 32), 8) TODO ????
val elt = elts(index)
- val end = !io.en && ((elt === io.target) || (index === UInt.Lit(7)))
+ val end = !io.en && ((elt === io.target) || (index === UInt(7)))
when (io.en) {
- index := UInt.Lit(0)
+ index := UInt(0)
} .elsewhen (!end) {
- index := index +% UInt.Lit(1)
+ index := index +% UInt(1)
}
io.done := end
io.address := index
diff --git a/src/test/scala/chiselTests/Module.scala b/src/test/scala/chiselTests/Module.scala
index 26953f5f..7a4050db 100644
--- a/src/test/scala/chiselTests/Module.scala
+++ b/src/test/scala/chiselTests/Module.scala
@@ -16,8 +16,8 @@ class PlusOne extends Module {
class ModuleVec(val n: Int) extends Module {
val io = IO(new Bundle {
- val ins = Input(Vec(n, UInt.Lit(32)))
- val outs = Output(Vec(n, UInt.Lit(32)))
+ val ins = Input(Vec(n, UInt(32)))
+ val outs = Output(Vec(n, UInt(32)))
})
val pluses = Vec.fill(n){ Module(new PlusOne).io }
for (i <- 0 until n) {
diff --git a/src/test/scala/chiselTests/MulLookup.scala b/src/test/scala/chiselTests/MulLookup.scala
index 16a29104..26ee4e03 100644
--- a/src/test/scala/chiselTests/MulLookup.scala
+++ b/src/test/scala/chiselTests/MulLookup.scala
@@ -24,9 +24,9 @@ class MulLookup(val w: Int) extends Module {
class MulLookupTester(w: Int, x: Int, y: Int) extends BasicTester {
val dut = Module(new MulLookup(w))
- dut.io.x := UInt.Lit(x)
- dut.io.y := UInt.Lit(y)
- assert(dut.io.z === UInt.Lit(x * y))
+ dut.io.x := UInt(x)
+ dut.io.y := UInt(y)
+ assert(dut.io.z === UInt(x * y))
stop()
}
diff --git a/src/test/scala/chiselTests/Printf.scala b/src/test/scala/chiselTests/Printf.scala
index 92b6fee1..c872fde4 100644
--- a/src/test/scala/chiselTests/Printf.scala
+++ b/src/test/scala/chiselTests/Printf.scala
@@ -7,7 +7,7 @@ import chisel3._
import chisel3.testers.BasicTester
class SinglePrintfTester() extends BasicTester {
- val x = UInt.Lit(254)
+ val x = UInt(254)
printf("x=%x", x)
stop()
}
@@ -18,8 +18,8 @@ class ASCIIPrintfTester() extends BasicTester {
}
class MultiPrintfTester() extends BasicTester {
- val x = UInt.Lit(254)
- val y = UInt.Lit(255)
+ val x = UInt(254)
+ val y = UInt(255)
printf("x=%x y=%x", x, y)
stop()
}
diff --git a/src/test/scala/chiselTests/Reg.scala b/src/test/scala/chiselTests/Reg.scala
index 8b9016b1..b66d7cb4 100644
--- a/src/test/scala/chiselTests/Reg.scala
+++ b/src/test/scala/chiselTests/Reg.scala
@@ -16,7 +16,7 @@ class RegSpec extends ChiselFlatSpec {
"A Reg" should "be of the same type and width as outType, if specified" in {
class RegOutTypeWidthTester extends BasicTester {
- val reg = Reg(t=UInt.width(2), next=Wire(UInt.width(3)), init=UInt.Lit(20))
+ val reg = Reg(t=UInt.width(2), next=Wire(UInt.width(3)), init=UInt(20))
reg.getWidth should be (2)
}
elaborate{ new RegOutTypeWidthTester }
diff --git a/src/test/scala/chiselTests/Risc.scala b/src/test/scala/chiselTests/Risc.scala
index 665bb8e6..6d5a0a76 100644
--- a/src/test/scala/chiselTests/Risc.scala
+++ b/src/test/scala/chiselTests/Risc.scala
@@ -38,7 +38,7 @@ class Risc extends Module {
when (io.isWr) {
code(io.wrAddr) := io.wrData
} .elsewhen (io.boot) {
- pc := UInt.Lit(0)
+ pc := UInt(0)
} .otherwise {
switch(op) {
is(add_op) { rc := ra +% rb }
diff --git a/src/test/scala/chiselTests/SIntOps.scala b/src/test/scala/chiselTests/SIntOps.scala
index d827c096..392c4803 100644
--- a/src/test/scala/chiselTests/SIntOps.scala
+++ b/src/test/scala/chiselTests/SIntOps.scala
@@ -32,9 +32,9 @@ class SIntOps extends Module {
io.subout := a -% b
// TODO:
//io.timesout := (a * b)(15, 0)
- //io.divout := a / Mux(b === SInt.Lit(0), SInt.Lit(1), b)
+ //io.divout := a / Mux(b === SInt(0), SInt(1), b)
//io.divout := (a / b)(15, 0)
- //io.modout := SInt.Lit(0)
+ //io.modout := SInt(0)
//io.lshiftout := (a << 12)(15, 0) // (a << ub(3, 0))(15, 0).toSInt
io.rshiftout := (a >> 8) // (a >> ub).toSInt
io.lessout := a < b
@@ -44,7 +44,7 @@ class SIntOps extends Module {
io.lesseqout := a <= b
io.greateqout := a >= b
// io.negout := -a(15, 0).toSInt
- io.negout := (SInt.Lit(0) -% a)
+ io.negout := (SInt(0) -% a)
}
/*
diff --git a/src/test/scala/chiselTests/Stack.scala b/src/test/scala/chiselTests/Stack.scala
index 0c84e62a..a72af928 100644
--- a/src/test/scala/chiselTests/Stack.scala
+++ b/src/test/scala/chiselTests/Stack.scala
@@ -21,14 +21,14 @@ class ChiselStack(val depth: Int) extends Module {
val out = Reg(init = UInt(0, width = 32))
when (io.en) {
- when(io.push && (sp < UInt.Lit(depth))) {
+ when(io.push && (sp < UInt(depth))) {
stack_mem(sp) := io.dataIn
- sp := sp +% UInt.Lit(1)
- } .elsewhen(io.pop && (sp > UInt.Lit(0))) {
- sp := sp -% UInt.Lit(1)
+ sp := sp +% UInt(1)
+ } .elsewhen(io.pop && (sp > UInt(0))) {
+ sp := sp -% UInt(1)
}
- when (sp > UInt.Lit(0)) {
- out := stack_mem(sp -% UInt.Lit(1))
+ when (sp > UInt(0)) {
+ out := stack_mem(sp -% UInt(1))
}
}
io.dataOut := out
diff --git a/src/test/scala/chiselTests/Tbl.scala b/src/test/scala/chiselTests/Tbl.scala
index 40730264..66a06435 100644
--- a/src/test/scala/chiselTests/Tbl.scala
+++ b/src/test/scala/chiselTests/Tbl.scala
@@ -30,15 +30,15 @@ class Tbl(w: Int, n: Int) extends Module {
class TblTester(w: Int, n: Int, idxs: List[Int], values: List[Int]) extends BasicTester {
val (cnt, wrap) = Counter(Bool(true), idxs.size)
val dut = Module(new Tbl(w, n))
- val vvalues = Vec(values.map(UInt.Lit(_)))
- val vidxs = Vec(idxs.map(UInt.Lit(_)))
- val prev_idx = vidxs(cnt - UInt.Lit(1))
- val prev_value = vvalues(cnt - UInt.Lit(1))
+ val vvalues = Vec(values.map(UInt(_)))
+ val vidxs = Vec(idxs.map(UInt(_)))
+ val prev_idx = vidxs(cnt - UInt(1))
+ val prev_value = vvalues(cnt - UInt(1))
dut.io.wi := vidxs(cnt)
dut.io.ri := prev_idx
dut.io.we := Bool(true) //TODO enSequence
dut.io.d := vvalues(cnt)
- when (cnt > UInt.Lit(0)) {
+ when (cnt > UInt(0)) {
when (prev_idx === vidxs(cnt)) {
assert(dut.io.o === vvalues(cnt))
} .otherwise {
diff --git a/src/test/scala/chiselTests/TesterDriverSpec.scala b/src/test/scala/chiselTests/TesterDriverSpec.scala
index b0f3a981..23eed15f 100644
--- a/src/test/scala/chiselTests/TesterDriverSpec.scala
+++ b/src/test/scala/chiselTests/TesterDriverSpec.scala
@@ -24,13 +24,13 @@ class FinishTester extends BasicTester {
// though we just set test_wire to 1, the assert below will pass because
// the finish will change its value
- assert(test_wire === UInt.Lit(test_wire_override_value))
+ assert(test_wire === UInt(test_wire_override_value))
/** In finish we use last connect semantics to alter the test_wire in the circuit
* with a new value
*/
override def finish(): Unit = {
- test_wire := UInt.Lit(test_wire_override_value)
+ test_wire := UInt(test_wire_override_value)
}
}
diff --git a/src/test/scala/chiselTests/UIntOps.scala b/src/test/scala/chiselTests/UIntOps.scala
index 69633461..ad5aecd8 100644
--- a/src/test/scala/chiselTests/UIntOps.scala
+++ b/src/test/scala/chiselTests/UIntOps.scala
@@ -31,10 +31,10 @@ class UIntOps extends Module {
io.addout := a +% b
io.subout := a -% b
io.timesout := (a * b)(15, 0)
- io.divout := a / Mux(b === UInt.Lit(0), UInt.Lit(1), b)
+ io.divout := a / Mux(b === UInt(0), UInt(1), b)
// io.modout := a % b
// TODO:
- io.modout := UInt.Lit(0)
+ io.modout := UInt(0)
io.lshiftout := (a << b(3, 0))(15, 0)
io.rshiftout := a >> b
io.lessout := a < b
diff --git a/src/test/scala/chiselTests/Vec.scala b/src/test/scala/chiselTests/Vec.scala
index 22b518a2..e8bd66bd 100644
--- a/src/test/scala/chiselTests/Vec.scala
+++ b/src/test/scala/chiselTests/Vec.scala
@@ -12,15 +12,15 @@ import chisel3.util._
class ValueTester(w: Int, values: List[Int]) extends BasicTester {
val v = Vec(values.map(UInt(_, width = w))) // TODO: does this need a Wire? Why no error?
for ((a,b) <- v.zip(values)) {
- assert(a === UInt.Lit(b))
+ assert(a === UInt(b))
}
stop()
}
class TabulateTester(n: Int) extends BasicTester {
- val v = Vec(Range(0, n).map(i => UInt.Lit(i * 2)))
- val x = Vec(Array.tabulate(n){ i => UInt.Lit(i * 2) })
- val u = Vec.tabulate(n)(i => UInt.Lit(i*2))
+ val v = Vec(Range(0, n).map(i => UInt(i * 2)))
+ val x = Vec(Array.tabulate(n){ i => UInt(i * 2) })
+ val u = Vec.tabulate(n)(i => UInt(i*2))
assert(v.toBits === x.toBits)
assert(v.toBits === u.toBits)
@@ -34,8 +34,8 @@ class ShiftRegisterTester(n: Int) extends BasicTester {
val shifter = Reg(Vec(n, UInt.width(log2Up(n))))
(shifter, shifter drop 1).zipped.foreach(_ := _)
shifter(n-1) := cnt
- when (cnt >= UInt.Lit(n)) {
- val expected = cnt - UInt.Lit(n)
+ when (cnt >= UInt(n)) {
+ val expected = cnt - UInt(n)
assert(shifter(0) === expected)
}
when (wrap) {
diff --git a/src/test/scala/chiselTests/When.scala b/src/test/scala/chiselTests/When.scala
index 2f5c49e4..07ab3444 100644
--- a/src/test/scala/chiselTests/When.scala
+++ b/src/test/scala/chiselTests/When.scala
@@ -13,19 +13,19 @@ class WhenTester() extends BasicTester {
when(Bool(true)) { cnt.inc() }
val out = Wire(UInt.width(3))
- when(cnt.value === UInt.Lit(0)) {
- out := UInt.Lit(1)
- } .elsewhen (cnt.value === UInt.Lit(1)) {
- out := UInt.Lit(2)
- } .elsewhen (cnt.value === UInt.Lit(2)) {
- out := UInt.Lit(3)
+ when(cnt.value === UInt(0)) {
+ out := UInt(1)
+ } .elsewhen (cnt.value === UInt(1)) {
+ out := UInt(2)
+ } .elsewhen (cnt.value === UInt(2)) {
+ out := UInt(3)
} .otherwise {
- out := UInt.Lit(0)
+ out := UInt(0)
}
- assert(out === cnt.value + UInt.Lit(1))
+ assert(out === cnt.value + UInt(1))
- when(cnt.value === UInt.Lit(3)) {
+ when(cnt.value === UInt(3)) {
stop()
}
}
@@ -35,19 +35,19 @@ class OverlappedWhenTester() extends BasicTester {
when(Bool(true)) { cnt.inc() }
val out = Wire(UInt.width(3))
- when(cnt.value <= UInt.Lit(0)) {
- out := UInt.Lit(1)
- } .elsewhen (cnt.value <= UInt.Lit(1)) {
- out := UInt.Lit(2)
- } .elsewhen (cnt.value <= UInt.Lit(2)) {
- out := UInt.Lit(3)
+ when(cnt.value <= UInt(0)) {
+ out := UInt(1)
+ } .elsewhen (cnt.value <= UInt(1)) {
+ out := UInt(2)
+ } .elsewhen (cnt.value <= UInt(2)) {
+ out := UInt(3)
} .otherwise {
- out := UInt.Lit(0)
+ out := UInt(0)
}
- assert(out === cnt.value + UInt.Lit(1))
+ assert(out === cnt.value + UInt(1))
- when(cnt.value === UInt.Lit(3)) {
+ when(cnt.value === UInt(3)) {
stop()
}
}