summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/DontTouchSpec.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/chiselTests/DontTouchSpec.scala')
-rw-r--r--src/test/scala/chiselTests/DontTouchSpec.scala3
1 files changed, 1 insertions, 2 deletions
diff --git a/src/test/scala/chiselTests/DontTouchSpec.scala b/src/test/scala/chiselTests/DontTouchSpec.scala
index f916b20a..4b1bce8e 100644
--- a/src/test/scala/chiselTests/DontTouchSpec.scala
+++ b/src/test/scala/chiselTests/DontTouchSpec.scala
@@ -4,7 +4,6 @@ package chiselTests
import chisel3._
import chisel3.experimental.dontTouch
-import firrtl.{FirrtlExecutionSuccess, Transform}
class HasDeadCodeChild(withDontTouch: Boolean) extends Module {
val io = IO(new Bundle {
@@ -52,7 +51,7 @@ class DontTouchSpec extends ChiselFlatSpec {
}
}
"Dont touch" should "only work on bound hardware" in {
- a [chisel3.core.Binding.BindingException] should be thrownBy {
+ a [chisel3.BindingException] should be thrownBy {
elaborate(new Module {
val io = IO(new Bundle { })
dontTouch(new Bundle { val a = UInt(32.W) } )