summaryrefslogtreecommitdiff
path: root/src/test
diff options
context:
space:
mode:
authorducky2016-11-17 11:21:59 -0800
committerducky2016-11-21 13:31:12 -0800
commitb0cc0c93a80aec5bed54cfb11923636c09b7e180 (patch)
tree5d3edabd4010cfb0e8dce125f39e89ee904143a0 /src/test
parent9e32a39bda3fba11e6b0990e6ad5e7e17b5d8364 (diff)
SInt conversion finished, everything builds again
Diffstat (limited to 'src/test')
-rw-r--r--src/test/scala/chiselTests/AnnotatingExample.scala22
-rw-r--r--src/test/scala/chiselTests/DriverSpec.scala4
2 files changed, 13 insertions, 13 deletions
diff --git a/src/test/scala/chiselTests/AnnotatingExample.scala b/src/test/scala/chiselTests/AnnotatingExample.scala
index 04228d6b..0be3ba59 100644
--- a/src/test/scala/chiselTests/AnnotatingExample.scala
+++ b/src/test/scala/chiselTests/AnnotatingExample.scala
@@ -24,8 +24,8 @@ import scala.util.DynamicVariable
class SomeSubMod(param1: Int, param2: Int) extends Module {
val io = new Bundle {
- val in = UInt(INPUT, 16)
- val out = SInt(OUTPUT, 32)
+ val in = Input(UInt(16.W))
+ val out = Output(SInt(32.W))
}
val annotate = MyBuilder.myDynamicContext.annotationMap
@@ -36,18 +36,18 @@ class SomeSubMod(param1: Int, param2: Int) extends Module {
class AnnotatingExample extends Module {
val io = new Bundle {
- val a = UInt(INPUT, 32)
- val b = UInt(INPUT, 32)
- val e = Bool(INPUT)
- val z = UInt(OUTPUT, 32)
- val v = Bool(OUTPUT)
+ val a = Input(UInt(32.W))
+ val b = Input(UInt(32.W))
+ val e = Input(Bool())
+ val z = Output(UInt(32.W))
+ val v = Output(Bool())
val bun = new Bundle {
- val nested_1 = UInt(INPUT, 12)
- val nested_2 = Bool(OUTPUT)
+ val nested_1 = Input(UInt(12.W))
+ val nested_2 = Output(Bool())
}
}
- val x = Reg(UInt(width = 32))
- val y = Reg(UInt(width = 32))
+ val x = Reg(UInt(32.W))
+ val y = Reg(UInt(32.W))
val subModule1 = Module(new SomeSubMod(1, 2))
val subModule2 = Module(new SomeSubMod(3, 4))
diff --git a/src/test/scala/chiselTests/DriverSpec.scala b/src/test/scala/chiselTests/DriverSpec.scala
index 4f9619e3..d77dbaf1 100644
--- a/src/test/scala/chiselTests/DriverSpec.scala
+++ b/src/test/scala/chiselTests/DriverSpec.scala
@@ -8,8 +8,8 @@ import org.scalatest.{Matchers, FreeSpec}
class DummyModule extends Module {
val io = IO(new Bundle {
- val in = UInt(INPUT, 1)
- val out = UInt(OUTPUT, 1)
+ val in = Input(UInt(1.W))
+ val out = Output(UInt(1.W))
})
io.out := io.in
}