summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/OptionBundle.scala
diff options
context:
space:
mode:
authorducky642017-11-22 22:26:09 -0800
committerRichard Lin2018-01-02 13:41:56 -0800
commit48e30fab101c5552c73fc5a76cad3ccc6b38946f (patch)
tree318a05ff87cb6948c964de5738aa979c27d278e8 /src/test/scala/chiselTests/OptionBundle.scala
parent11c1112661e04094bccfd805e737e0318eb91ebc (diff)
Support for inner classes, implicit parameter lists, supertypess
Diffstat (limited to 'src/test/scala/chiselTests/OptionBundle.scala')
-rw-r--r--src/test/scala/chiselTests/OptionBundle.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/test/scala/chiselTests/OptionBundle.scala b/src/test/scala/chiselTests/OptionBundle.scala
index 2ac661ea..03b08385 100644
--- a/src/test/scala/chiselTests/OptionBundle.scala
+++ b/src/test/scala/chiselTests/OptionBundle.scala
@@ -6,7 +6,7 @@ import org.scalatest._
import chisel3._
import chisel3.testers.BasicTester
-class OptionBundle(hasIn: Boolean) extends Bundle {
+class OptionBundle(val hasIn: Boolean) extends Bundle {
val in = if (hasIn) {
Some(Input(Bool()))
} else {
@@ -15,7 +15,7 @@ class OptionBundle(hasIn: Boolean) extends Bundle {
val out = Output(Bool())
}
-class OptionBundleModule(hasIn: Boolean) extends Module {
+class OptionBundleModule(val hasIn: Boolean) extends Module {
val io = IO(new OptionBundle(hasIn))
if (hasIn) {
io.out := io.in.get