aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--src/main/stanza/ir-utils.stanza123
1 files changed, 120 insertions, 3 deletions
diff --git a/src/main/stanza/ir-utils.stanza b/src/main/stanza/ir-utils.stanza
index 59c7c36a..3fd96882 100644
--- a/src/main/stanza/ir-utils.stanza
+++ b/src/main/stanza/ir-utils.stanza
@@ -548,94 +548,199 @@ public defn pow (x:Long,y:Long) -> Long :
;=================== VERILOG KEYWORDS =======================
public val v-keywords = HashTable<Symbol,True>(symbol-hash)
+v-keywords[`alias] = true
v-keywords[`always] = true
+v-keywords[`always_comb] = true
+v-keywords[`always_ff] = true
+v-keywords[`always_latch] = true
v-keywords[`and] = true
+v-keywords[`assert] = true
v-keywords[`assign] = true
+v-keywords[`assume] = true
v-keywords[`attribute] = true
+v-keywords[`automatic] = true
+v-keywords[`before] = true
v-keywords[`begin] = true
+v-keywords[`bind] = true
+v-keywords[`bins] = true
+v-keywords[`binsof] = true
+v-keywords[`bit] = true
+v-keywords[`break] = true
v-keywords[`buf] = true
v-keywords[`bufif0] = true
v-keywords[`bufif1] = true
+v-keywords[`byte] = true
v-keywords[`case] = true
v-keywords[`casex] = true
v-keywords[`casez] = true
+v-keywords[`cell] = true
+v-keywords[`chandle] = true
+v-keywords[`class] = true
+v-keywords[`clocking] = true
v-keywords[`cmos] = true
+v-keywords[`config] = true
+v-keywords[`const] = true
+v-keywords[`constraint] = true
+v-keywords[`context] = true
+v-keywords[`continue] = true
+v-keywords[`cover] = true
+v-keywords[`covergroup] = true
+v-keywords[`coverpoint] = true
+v-keywords[`cross] = true
v-keywords[`deassign] = true
v-keywords[`default] = true
v-keywords[`defparam] = true
+v-keywords[`design] = true
v-keywords[`disable] = true
+v-keywords[`dist] = true
+v-keywords[`do] = true
v-keywords[`edge] = true
v-keywords[`else] = true
v-keywords[`end] = true
v-keywords[`endattribute] = true
v-keywords[`endcase] = true
+v-keywords[`endclass] = true
+v-keywords[`endclocking] = true
+v-keywords[`endconfig] = true
v-keywords[`endfunction] = true
+v-keywords[`endgenerate] = true
+v-keywords[`endgroup] = true
+v-keywords[`endinterface] = true
v-keywords[`endmodule] = true
+v-keywords[`endpackage] = true
v-keywords[`endprimitive] = true
+v-keywords[`endprogram] = true
+v-keywords[`endproperty] = true
v-keywords[`endspecify] = true
+v-keywords[`endsequence] = true
v-keywords[`endtable] = true
v-keywords[`endtask] = true
+v-keywords[`enum] = true
v-keywords[`event] = true
+v-keywords[`expect] = true
+v-keywords[`export] = true
+v-keywords[`extends] = true
+v-keywords[`extern] = true
+v-keywords[`final] = true
+v-keywords[`first_match] = true
v-keywords[`for] = true
v-keywords[`force] = true
+v-keywords[`foreach] = true
v-keywords[`forever] = true
v-keywords[`fork] = true
+v-keywords[`forkjoin] = true
v-keywords[`function] = true
+v-keywords[`generate] = true
+v-keywords[`genvar] = true
v-keywords[`highz0] = true
v-keywords[`highz1] = true
v-keywords[`if] = true
+v-keywords[`iff] = true
v-keywords[`ifnone] = true
+v-keywords[`ignore_bins] = true
+v-keywords[`illegal_bins] = true
+v-keywords[`import] = true
+v-keywords[`incdir] = true
+v-keywords[`include] = true
v-keywords[`initial] = true
+v-keywords[`initvar] = true
v-keywords[`inout] = true
v-keywords[`input] = true
+v-keywords[`inside] = true
+v-keywords[`instance] = true
+v-keywords[`int] = true
v-keywords[`integer] = true
-v-keywords[`initvar] = true
+v-keywords[`interconnect] = true
+v-keywords[`interface] = true
+v-keywords[`intersect] = true
v-keywords[`join] = true
-v-keywords[`medium] = true
-v-keywords[`module] = true
+v-keywords[`join_any] = true
+v-keywords[`join_none] = true
v-keywords[`large] = true
+v-keywords[`liblist] = true
+v-keywords[`library] = true
+v-keywords[`local] = true
+v-keywords[`localparam] = true
+v-keywords[`logic] = true
+v-keywords[`longint] = true
v-keywords[`macromodule] = true
+v-keywords[`matches] = true
+v-keywords[`medium] = true
+v-keywords[`modport] = true
+v-keywords[`module] = true
v-keywords[`nand] = true
v-keywords[`negedge] = true
+v-keywords[`new] = true
v-keywords[`nmos] = true
v-keywords[`nor] = true
+v-keywords[`noshowcancelled] = true
v-keywords[`not] = true
v-keywords[`notif0] = true
v-keywords[`notif1] = true
+v-keywords[`null] = true
v-keywords[`or] = true
v-keywords[`output] = true
+v-keywords[`package] = true
+v-keywords[`packed] = true
v-keywords[`parameter] = true
v-keywords[`pmos] = true
v-keywords[`posedge] = true
v-keywords[`primitive] = true
+v-keywords[`priority] = true
+v-keywords[`program] = true
+v-keywords[`property] = true
+v-keywords[`protected] = true
v-keywords[`pull0] = true
v-keywords[`pull1] = true
v-keywords[`pulldown] = true
v-keywords[`pullup] = true
+v-keywords[`pulsestyle_onevent] = true
+v-keywords[`pulsestyle_ondetect] = true
+v-keywords[`pure] = true
+v-keywords[`rand] = true
+v-keywords[`randc] = true
+v-keywords[`randcase] = true
+v-keywords[`randsequence] = true
v-keywords[`rcmos] = true
v-keywords[`real] = true
v-keywords[`realtime] = true
+v-keywords[`ref] = true
v-keywords[`reg] = true
v-keywords[`release] = true
v-keywords[`repeat] = true
+v-keywords[`return] = true
v-keywords[`rnmos] = true
v-keywords[`rpmos] = true
v-keywords[`rtran] = true
v-keywords[`rtranif0] = true
v-keywords[`rtranif1] = true
v-keywords[`scalared] = true
+v-keywords[`sequence] = true
+v-keywords[`shortint] = true
+v-keywords[`shortreal] = true
+v-keywords[`showcancelled] = true
v-keywords[`signed] = true
v-keywords[`small] = true
+v-keywords[`solve] = true
v-keywords[`specify] = true
v-keywords[`specparam] = true
+v-keywords[`static] = true
v-keywords[`strength] = true
+v-keywords[`string] = true
v-keywords[`strong0] = true
v-keywords[`strong1] = true
+v-keywords[`struct] = true
+v-keywords[`super] = true
v-keywords[`supply0] = true
v-keywords[`supply1] = true
v-keywords[`table] = true
+v-keywords[`tagged] = true
v-keywords[`task] = true
+v-keywords[`this] = true
+v-keywords[`throughout] = true
v-keywords[`time] = true
+v-keywords[`timeprecision] = true
+v-keywords[`timeunit] = true
v-keywords[`tran] = true
v-keywords[`tranif0] = true
v-keywords[`tranif1] = true
@@ -645,14 +750,26 @@ v-keywords[`tri1] = true
v-keywords[`triand] = true
v-keywords[`trior] = true
v-keywords[`trireg] = true
+v-keywords[`type] = true
+v-keywords[`typedef] = true
+v-keywords[`union] = true
+v-keywords[`unique] = true
v-keywords[`unsigned] = true
+v-keywords[`use] = true
+v-keywords[`var] = true
v-keywords[`vectored] = true
+v-keywords[`virtual] = true
+v-keywords[`void] = true
v-keywords[`wait] = true
+v-keywords[`wait_order] = true
v-keywords[`wand] = true
v-keywords[`weak0] = true
v-keywords[`weak1] = true
v-keywords[`while] = true
+v-keywords[`wildcard] = true
v-keywords[`wire] = true
+v-keywords[`with] = true
+v-keywords[`within] = true
v-keywords[`wor] = true
v-keywords[`xnor] = true
v-keywords[`xor] = true