blob: 085f4e34816a520d7de1a6a951a251b14ec7ea4e (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
|
// SPDX-License-Identifier: Apache-2.0
package chiselTests
import chisel3._
import chisel3.stage.ChiselStage
import chisel3.util._
class ChiselStack(val depth: Int) extends Module {
val io = IO(new Bundle {
val push = Input(Bool())
val pop = Input(Bool())
val en = Input(Bool())
val dataIn = Input(UInt(32.W))
val dataOut = Output(UInt(32.W))
})
val stack_mem = Mem(depth, UInt(32.W))
val sp = RegInit(0.U(log2Ceil(depth + 1).W))
val out = RegInit(0.U(32.W))
when(io.en) {
when(io.push && (sp < depth.asUInt)) {
stack_mem(sp) := io.dataIn
sp := sp +% 1.U
}.elsewhen(io.pop && (sp > 0.U)) {
sp := sp -% 1.U
}
when(sp > 0.U) {
out := stack_mem(sp -% 1.U)
}
}
io.dataOut := out
}
/*
class StackTester(c: Stack) extends Tester(c) {
var nxtDataOut = 0
var dataOut = 0
val stack = new ScalaStack[Int]()
for (t <- 0 until 16) {
val enable = rnd.nextInt(2)
val push = rnd.nextInt(2)
val pop = rnd.nextInt(2)
val dataIn = rnd.nextInt(256)
if (enable == 1) {
dataOut = nxtDataOut
if (push == 1 && stack.length < c.depth) {
stack.push(dataIn)
} else if (pop == 1 && stack.length > 0) {
stack.pop()
}
if (stack.length > 0) {
nxtDataOut = stack.top
}
}
poke(c.io.pop, pop)
poke(c.io.push, push)
poke(c.io.en, enable)
poke(c.io.dataIn, dataIn)
step(1)
expect(c.io.dataOut, dataOut)
}
}
*/
class StackSpec extends ChiselPropSpec {
property("Stack should elaborate") {
ChiselStage.elaborate { new ChiselStack(2) }
}
ignore("StackTester should return the correct result") {}
}
|