blob: 45d6b58ae1d1babac01cd2a19b4e8102df3cd302 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
|
// See LICENSE for license details.
package chiselTests
import chisel3._
import chisel3.testers.BasicTester
class UnclockedPlusOne extends RawModule {
val in = IO(Input(UInt(32.W)))
val out = IO(Output(UInt(32.W)))
out := in + 1.asUInt
}
class RawModuleTester extends BasicTester {
val plusModule = Module(new UnclockedPlusOne)
plusModule.in := 42.U
assert(plusModule.out === 43.U)
stop()
}
class PlusOneModule extends Module {
val io = IO(new Bundle {
val in = Input(UInt(32.W))
val out = Output(UInt(32.W))
})
io.out := io.in + 1.asUInt
}
class RawModuleWithImplicitModule extends RawModule {
val in = IO(Input(UInt(32.W)))
val out = IO(Output(UInt(32.W)))
val clk = IO(Input(Clock()))
val rst = IO(Input(Bool()))
withClockAndReset(clk, rst) {
val plusModule = Module(new PlusOneModule)
plusModule.io.in := in
out := plusModule.io.out
}
}
class ImplicitModuleInRawModuleTester extends BasicTester {
val plusModule = Module(new RawModuleWithImplicitModule)
plusModule.clk := clock
plusModule.rst := reset
plusModule.in := 42.U
assert(plusModule.out === 43.U)
stop()
}
class RawModuleWithDirectImplicitModule extends RawModule {
val plusModule = Module(new PlusOneModule)
}
class ImplicitModuleDirectlyInRawModuleTester extends BasicTester {
val plusModule = Module(new RawModuleWithDirectImplicitModule)
stop()
}
class RawModuleSpec extends ChiselFlatSpec {
"RawModule" should "elaborate" in {
elaborate { new RawModuleWithImplicitModule }
}
"RawModule" should "work" in {
assertTesterPasses({ new RawModuleTester })
}
"ImplicitModule in a withClock block in a RawModule" should "work" in {
assertTesterPasses({ new ImplicitModuleInRawModuleTester })
}
"ImplicitModule directly in a RawModule" should "fail" in {
intercept[chisel3.internal.ChiselException] {
elaborate { new RawModuleWithDirectImplicitModule }
}
}
"ImplicitModule directly in a RawModule in an ImplicitModule" should "fail" in {
intercept[chisel3.internal.ChiselException] {
elaborate { new ImplicitModuleDirectlyInRawModuleTester }
}
}
}
|