1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
|
// See LICENSE for license details.
package chiselTests
import org.scalatest.{FlatSpec, Matchers}
import chisel3._
import chisel3.testers.BasicTester
/* Printable Tests */
class PrintableSpec extends FlatSpec with Matchers {
// This regex is brittle, it specifically finds the clock and enable signals followed by commas
private val PrintfRegex = """\s*printf\(\w+, [^,]+,(.*)\).*""".r
private val StringRegex = """([^"]*)"(.*?)"(.*)""".r
private case class Printf(str: String, args: Seq[String])
private def getPrintfs(firrtl: String): Seq[Printf] = {
def processArgs(str: String): Seq[String] =
str split "," map (_.trim) filter (_.nonEmpty)
def processBody(str: String): (String, Seq[String]) = {
str match {
case StringRegex(_, fmt, args) =>
(fmt, processArgs(args))
case _ => fail(s"Regex to process Printf should work on $str!")
}
}
firrtl split "\n" collect {
case PrintfRegex(matched) =>
val (str, args) = processBody(matched)
Printf(str, args)
}
}
behavior of "Printable & Custom Interpolator"
it should "pass exact strings through" in {
class MyModule extends BasicTester {
printf(p"An exact string")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("An exact string", Seq())) =>
case e => fail()
}
}
it should "handle Printable and String concatination" in {
class MyModule extends BasicTester {
printf(p"First " + PString("Second ") + "Third")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("First Second Third", Seq())) =>
case e => fail()
}
}
it should "call toString on non-Printable objects" in {
class MyModule extends BasicTester {
val myInt = 1234
printf(p"myInt = $myInt")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("myInt = 1234", Seq())) =>
case e => fail()
}
}
it should "generate proper printf for simple Decimal printing" in {
class MyModule extends BasicTester {
val myWire = WireDefault(1234.U)
printf(p"myWire = ${Decimal(myWire)}")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("myWire = %d", Seq("myWire"))) =>
case e => fail()
}
}
it should "handle printing literals" in {
class MyModule extends BasicTester {
printf(Decimal(10.U(32.W)))
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("%d", Seq(lit))) =>
assert(lit contains "UInt<32>")
case e => fail()
}
}
it should "correctly escape percent" in {
class MyModule extends BasicTester {
printf(p"%")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("%%", Seq())) =>
case e => fail()
}
}
it should "support names of circuit elements including submodule IO" in {
// Submodule IO is a subtle issue because the Chisel element has a different
// parent module
class MySubModule extends Module {
val io = IO(new Bundle {
val fizz = UInt(32.W)
})
}
class MyBundle extends Bundle {
val foo = UInt(32.W)
override def cloneType: this.type = (new MyBundle).asInstanceOf[this.type]
}
class MyModule extends BasicTester {
override def desiredName: String = "MyModule"
val myWire = Wire(new MyBundle)
val myInst = Module(new MySubModule)
printf(p"${Name(myWire.foo)}")
printf(p"${FullName(myWire.foo)}")
printf(p"${FullName(myInst.io.fizz)}")
}
val firrtl = Driver.emit(() => new MyModule)
println(firrtl) // scalastyle:ignore regex
getPrintfs(firrtl) match {
case Seq(Printf("foo", Seq()),
Printf("myWire.foo", Seq()),
Printf("myInst.io.fizz", Seq())) =>
case e => fail()
}
}
it should "handle printing ports of submodules" in {
class MySubModule extends Module {
val io = IO(new Bundle {
val fizz = UInt(32.W)
})
}
class MyModule extends BasicTester {
val myInst = Module(new MySubModule)
printf(p"${myInst.io.fizz}")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("%d", Seq("myInst.io.fizz"))) =>
case e => fail()
}
}
it should "print UInts and SInts as Decimal by default" in {
class MyModule extends BasicTester {
val myUInt = WireDefault(0.U)
val mySInt = WireDefault(-1.S)
printf(p"$myUInt & $mySInt")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("%d & %d", Seq("myUInt", "mySInt"))) =>
case e => fail()
}
}
it should "print Vecs like Scala Seqs by default" in {
class MyModule extends BasicTester {
val myVec = Wire(Vec(4, UInt(32.W)))
myVec foreach (_ := 0.U)
printf(p"$myVec")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("Vec(%d, %d, %d, %d)",
Seq("myVec[0]", "myVec[1]", "myVec[2]", "myVec[3]"))) =>
case e => fail()
}
}
it should "print Bundles like Scala Maps by default" in {
class MyModule extends BasicTester {
val myBun = Wire(new Bundle {
val foo = UInt(32.W)
val bar = UInt(32.W)
})
myBun.foo := 0.U
myBun.bar := 0.U
printf(p"$myBun")
}
val firrtl = Driver.emit(() => new MyModule)
getPrintfs(firrtl) match {
case Seq(Printf("AnonymousBundle(foo -> %d, bar -> %d)",
Seq("myBun.foo", "myBun.bar"))) =>
case e => fail()
}
}
}
|