1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
|
// See LICENSE for license details.
package chiselTests
import org.scalatest._
import chisel3._
import chisel3.experimental.RawModule
class DirectionedBundle extends Bundle {
val in = Input(UInt(32.W))
val out = Output(UInt(32.W))
}
class DirectionHaver extends Module {
val io = IO(new Bundle {
val in = Input(UInt(32.W))
val out = Output(UInt(32.W))
val inBundle = Input(new DirectionedBundle) // should override elements
val outBundle = Output(new DirectionedBundle) // should override elements
})
}
class GoodDirection extends DirectionHaver {
io.out := 0.U
io.outBundle.in := 0.U
io.outBundle.out := 0.U
}
class BadDirection extends DirectionHaver {
io.in := 0.U
}
class BadSubDirection extends DirectionHaver {
io.inBundle.out := 0.U
}
class TopDirectionOutput extends Module {
val io = IO(Output(new DirectionedBundle))
io.in := 42.U
io.out := 117.U
}
class DirectionSpec extends ChiselPropSpec with Matchers {
//TODO: In Chisel3 these are actually FIRRTL errors. Remove from tests?
property("Outputs should be assignable") {
elaborate(new GoodDirection)
}
property("Inputs should not be assignable") {
a[Exception] should be thrownBy {
elaborate(new BadDirection)
}
a[Exception] should be thrownBy {
elaborate(new BadSubDirection)
}
}
property("Top-level forced outputs should be assignable") {
elaborate(new TopDirectionOutput)
}
property("Empty Vecs with directioned sample_element should not cause direction errors") {
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = Vec(0, Output(UInt(8.W)))
})
})
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = Flipped(Vec(0, Output(UInt(8.W))))
})
})
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = Output(Vec(0, UInt(8.W)))
})
})
}
property("Empty Vecs with no direction on the sample_element *should* cause direction errors") {
an [Exception] should be thrownBy {
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = Vec(0, UInt(8.W))
})
})
}
}
property("Empty Bundles should not cause direction errors") {
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = new Bundle {}
})
})
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = Flipped(new Bundle {})
})
})
elaborate(new Module {
val io = IO(new Bundle {
val foo = Input(UInt(8.W))
val x = new Bundle {
val y = if (false) Some(Input(UInt(8.W))) else None
}
})
})
}
property("Explicitly directioned but empty Bundles should cause direction errors") {
an [Exception] should be thrownBy {
elaborate(new Module {
val io = IO(new Bundle {
val foo = UInt(8.W)
val x = Input(new Bundle {})
})
})
}
}
import chisel3.experimental.{MultiIOModule, DataMirror, Direction, RawModule}
property("Directions should be preserved through cloning and binding of Bundles") {
elaborate(new MultiIOModule {
class MyBundle extends Bundle {
val foo = Input(UInt(8.W))
val bar = Output(UInt(8.W))
}
class MyOuterBundle extends Bundle {
val fizz = new MyBundle
val buzz = Flipped(new MyBundle)
}
val a = new MyOuterBundle
val b = IO(a)
val specifiedDirs = Seq(
a.fizz.foo -> SpecifiedDirection.Input,
a.fizz.bar -> SpecifiedDirection.Output,
a.fizz -> SpecifiedDirection.Unspecified,
a.buzz.foo -> SpecifiedDirection.Input,
a.buzz.bar -> SpecifiedDirection.Output,
a.buzz -> SpecifiedDirection.Flip
)
val actualDirs = Seq(
b.fizz.foo -> Direction.Input,
b.fizz.bar -> Direction.Output,
b.fizz -> Direction.Bidirectional(Direction.Default),
b.buzz.foo -> Direction.Output,
b.buzz.bar -> Direction.Input,
b.buzz -> Direction.Bidirectional(Direction.Flipped)
)
for ((data, dir) <- specifiedDirs) {
DataMirror.specifiedDirectionOf(data) shouldBe (dir)
}
for ((data, dir) <- actualDirs) {
DataMirror.directionOf(data) shouldBe (dir)
}
}.asInstanceOf[MultiIOModule]) // The cast works around weird reflection behavior (bug?)
}
property("Directions should be preserved through cloning and binding of Vecs") {
elaborate(new MultiIOModule {
val a = Vec(1, Input(UInt(8.W)))
val b = Vec(1, a)
val c = Vec(1, Flipped(a))
val io0 = IO(b)
val io1 = IO(c)
val specifiedDirs = Seq(
a(0) -> SpecifiedDirection.Input,
b(0)(0) -> SpecifiedDirection.Input,
a -> SpecifiedDirection.Unspecified,
b -> SpecifiedDirection.Unspecified,
c(0) -> SpecifiedDirection.Flip,
c(0)(0) -> SpecifiedDirection.Input,
c -> SpecifiedDirection.Unspecified
)
val actualDirs = Seq(
io0(0)(0) -> Direction.Input,
io0(0) -> Direction.Input,
io0 -> Direction.Input,
io1(0)(0) -> Direction.Output,
io1(0) -> Direction.Output,
io1 -> Direction.Output
)
for ((data, dir) <- specifiedDirs) {
DataMirror.specifiedDirectionOf(data) shouldBe (dir)
}
for ((data, dir) <- actualDirs) {
DataMirror.directionOf(data) shouldBe (dir)
}
}.asInstanceOf[MultiIOModule]) // The cast works around weird reflection behavior (bug?)
}
property("Using Vec and Flipped together should calculate directions properly") {
class MyModule extends RawModule {
class MyBundle extends Bundle {
val a = Input(Bool())
val b = Output(Bool())
}
// Check all permutations of Vec and Flipped.
val regularVec = IO(Vec(1, new MyBundle))
regularVec <> DontCare
assert(DataMirror.directionOf(regularVec.head.a) == Direction.Input)
assert(DataMirror.directionOf(regularVec.head.b) == Direction.Output)
val vecFlipped = IO(Vec(1, Flipped(new MyBundle)))
vecFlipped <> DontCare
assert(DataMirror.directionOf(vecFlipped.head.a) == Direction.Output)
assert(DataMirror.directionOf(vecFlipped.head.b) == Direction.Input)
val flippedVec = IO(Flipped(Vec(1, new MyBundle)))
flippedVec <> DontCare
assert(DataMirror.directionOf(flippedVec.head.a) == Direction.Output)
assert(DataMirror.directionOf(flippedVec.head.b) == Direction.Input)
// Flipped(Vec(Flipped())) should be equal to non-flipped.
val flippedVecFlipped = IO(Flipped(Vec(1, Flipped(new MyBundle))))
flippedVecFlipped <> DontCare
assert(DataMirror.directionOf(flippedVecFlipped.head.a) == Direction.Input)
assert(DataMirror.directionOf(flippedVecFlipped.head.b) == Direction.Output)
}
val elaborated = Driver.elaborate(() => new MyModule)
val emitted: String = Driver.emit(elaborated)
val firrtl: String = Driver.toFirrtl(elaborated).serialize
// Check that emitted directions are correct.
Seq(emitted, firrtl).foreach { o => {
// Chisel Emitter formats spacing a little differently than the
// FIRRTL Emitter :-(
val s = o.replace("{flip a", "{ flip a")
assert(s.contains("output regularVec : { flip a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("input vecFlipped : { flip a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("input flippedVec : { flip a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("output flippedVecFlipped : { flip a : UInt<1>, b : UInt<1>}[1]"))
} }
}
property("Vec with Input/Output should calculate directions properly") {
class MyModule extends RawModule {
class MyBundle extends Bundle {
val a = Input(Bool())
val b = Output(Bool())
}
val inputVec = IO(Vec(1, Input(new MyBundle)))
inputVec <> DontCare
assert(DataMirror.directionOf(inputVec.head.a) == Direction.Input)
assert(DataMirror.directionOf(inputVec.head.b) == Direction.Input)
val vecInput = IO(Input(Vec(1, new MyBundle)))
vecInput <> DontCare
assert(DataMirror.directionOf(vecInput.head.a) == Direction.Input)
assert(DataMirror.directionOf(vecInput.head.b) == Direction.Input)
val vecInputFlipped = IO(Input(Vec(1, Flipped(new MyBundle))))
vecInputFlipped <> DontCare
assert(DataMirror.directionOf(vecInputFlipped.head.a) == Direction.Input)
assert(DataMirror.directionOf(vecInputFlipped.head.b) == Direction.Input)
val outputVec = IO(Vec(1, Output(new MyBundle)))
outputVec <> DontCare
assert(DataMirror.directionOf(outputVec.head.a) == Direction.Output)
assert(DataMirror.directionOf(outputVec.head.b) == Direction.Output)
val vecOutput = IO(Output(Vec(1, new MyBundle)))
vecOutput <> DontCare
assert(DataMirror.directionOf(vecOutput.head.a) == Direction.Output)
assert(DataMirror.directionOf(vecOutput.head.b) == Direction.Output)
val vecOutputFlipped = IO(Output(Vec(1, Flipped(new MyBundle))))
vecOutputFlipped <> DontCare
assert(DataMirror.directionOf(vecOutputFlipped.head.a) == Direction.Output)
assert(DataMirror.directionOf(vecOutputFlipped.head.b) == Direction.Output)
}
val elaborated = Driver.elaborate(() => new MyModule)
val emitted: String = Driver.emit(elaborated)
val firrtl: String = Driver.toFirrtl(elaborated).serialize
// Check that emitted directions are correct.
Seq(emitted, firrtl).foreach { o => {
// Chisel Emitter formats spacing a little differently than the
// FIRRTL Emitter :-(
val s = o.replace("{a", "{ a")
assert(s.contains("input inputVec : { a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("input vecInput : { a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("input vecInputFlipped : { a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("output outputVec : { a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("output vecOutput : { a : UInt<1>, b : UInt<1>}[1]"))
assert(s.contains("output vecOutputFlipped : { a : UInt<1>, b : UInt<1>}[1]"))
} }
}
}
|