summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
Diffstat (limited to 'src')
-rw-r--r--src/main/scala/chisel3/package.scala1
-rw-r--r--src/test/scala/chiselTests/AnnotationNoDedup.scala7
2 files changed, 2 insertions, 6 deletions
diff --git a/src/main/scala/chisel3/package.scala b/src/main/scala/chisel3/package.scala
index e75184db..02c4ecf5 100644
--- a/src/main/scala/chisel3/package.scala
+++ b/src/main/scala/chisel3/package.scala
@@ -437,6 +437,7 @@ package object chisel3 { // scalastyle:ignore package.object.name
val withReset = chisel3.core.withReset
val dontTouch = chisel3.core.dontTouch
+ val doNotDedup = chisel3.core.doNotDedup
type BaseModule = chisel3.core.BaseModule
type RawModule = chisel3.core.RawModule
diff --git a/src/test/scala/chiselTests/AnnotationNoDedup.scala b/src/test/scala/chiselTests/AnnotationNoDedup.scala
index 0f195fdf..d94cc2fc 100644
--- a/src/test/scala/chiselTests/AnnotationNoDedup.scala
+++ b/src/test/scala/chiselTests/AnnotationNoDedup.scala
@@ -3,16 +3,11 @@
package chiselTests
import chisel3._
-import chisel3.experimental.{annotate, ChiselAnnotation}
+import chisel3.experimental.{annotate, ChiselAnnotation, doNotDedup}
import firrtl.FirrtlExecutionSuccess
import firrtl.transforms.NoDedupAnnotation
import org.scalatest.{FreeSpec, Matchers}
-object doNotDedup {
- def apply(module: Module): Unit = {
- annotate(new ChiselAnnotation { def toFirrtl = NoDedupAnnotation(module.toNamed) })
- }
-}
class MuchUsedModule extends Module {
val io = IO(new Bundle {