summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/WarningSpec.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/chiselTests/WarningSpec.scala')
-rw-r--r--src/test/scala/chiselTests/WarningSpec.scala2
1 files changed, 0 insertions, 2 deletions
diff --git a/src/test/scala/chiselTests/WarningSpec.scala b/src/test/scala/chiselTests/WarningSpec.scala
index 1cef1ffc..1bb9e6dc 100644
--- a/src/test/scala/chiselTests/WarningSpec.scala
+++ b/src/test/scala/chiselTests/WarningSpec.scala
@@ -5,8 +5,6 @@ package chiselTests
import chisel3._
import chisel3.util._
import chisel3.stage.ChiselStage
-import chisel3.experimental.ChiselEnum
-import chisel3.experimental.EnumType
class WarningSpec extends ChiselFlatSpec with Utils {
behavior.of("Warnings")