summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/ParameterizedModule.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/chiselTests/ParameterizedModule.scala')
-rw-r--r--src/test/scala/chiselTests/ParameterizedModule.scala12
1 files changed, 6 insertions, 6 deletions
diff --git a/src/test/scala/chiselTests/ParameterizedModule.scala b/src/test/scala/chiselTests/ParameterizedModule.scala
index 35e3ba78..14b21631 100644
--- a/src/test/scala/chiselTests/ParameterizedModule.scala
+++ b/src/test/scala/chiselTests/ParameterizedModule.scala
@@ -3,14 +3,14 @@
package chiselTests
import org.scalatest._
-import Chisel._
-import Chisel.testers.BasicTester
+import chisel3._
+import chisel3.testers.BasicTester
class ParameterizedModule(invert: Boolean) extends Module {
- val io = new Bundle {
- val in = new Bool(INPUT)
- val out = new Bool(OUTPUT)
- }
+ val io = IO(new Bundle {
+ val in = Input(Bool())
+ val out = Output(Bool())
+ })
if (invert) {
io.out := !io.in
} else {