summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/UIntOps.scala
diff options
context:
space:
mode:
authorJack Koenig2018-11-21 14:08:32 -0800
committerJack Koenig2018-12-04 13:13:13 -0800
commit121635ed26c8a9852c827d6c0729515337604d08 (patch)
tree108b4ef217b89cd308ec27ec4e314b400fb369ad /src/test/scala/chiselTests/UIntOps.scala
parent277b3979912db443aef4e1aad741ac2b3c07f42f (diff)
Add asBools, deprecate toBools
Diffstat (limited to 'src/test/scala/chiselTests/UIntOps.scala')
-rw-r--r--src/test/scala/chiselTests/UIntOps.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/test/scala/chiselTests/UIntOps.scala b/src/test/scala/chiselTests/UIntOps.scala
index 4bd9706d..dc4a9d63 100644
--- a/src/test/scala/chiselTests/UIntOps.scala
+++ b/src/test/scala/chiselTests/UIntOps.scala
@@ -140,13 +140,13 @@ class UIntOpsSpec extends ChiselPropSpec with Matchers {
assertTesterPasses(new UIntLitExtractTester)
}
- property("toBools should support chained apply") {
+ property("asBools should support chained apply") {
elaborate(new Module {
val io = IO(new Bundle {
val in = Input(UInt(8.W))
val out = Output(Bool())
})
- io.out := io.in.toBools()(2)
+ io.out := io.in.asBools()(2)
})
}
}