summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/Tbl.scala
diff options
context:
space:
mode:
authorducky2016-11-17 13:16:40 -0800
committerducky2016-11-21 13:31:12 -0800
commit37a569372c70a651c813d0beb44124878a596e73 (patch)
treec5a691564f37110e1c056227a9d0818ea337af69 /src/test/scala/chiselTests/Tbl.scala
parent73906fcc796b259c81d5df7733968b77fbb81ba8 (diff)
Fix all deprecations from new style
Diffstat (limited to 'src/test/scala/chiselTests/Tbl.scala')
-rw-r--r--src/test/scala/chiselTests/Tbl.scala4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/test/scala/chiselTests/Tbl.scala b/src/test/scala/chiselTests/Tbl.scala
index ccfba499..03b08709 100644
--- a/src/test/scala/chiselTests/Tbl.scala
+++ b/src/test/scala/chiselTests/Tbl.scala
@@ -11,8 +11,8 @@ import chisel3.util._
class Tbl(w: Int, n: Int) extends Module {
val io = IO(new Bundle {
- val wi = Input(UInt.width(log2Up(n)))
- val ri = Input(UInt.width(log2Up(n)))
+ val wi = Input(UInt(log2Up(n).W))
+ val ri = Input(UInt(log2Up(n).W))
val we = Input(Bool())
val d = Input(UInt(w.W))
val o = Output(UInt(w.W))