summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/ResetSpec.scala
diff options
context:
space:
mode:
authorJack Koenig2022-01-10 10:39:52 -0800
committerJack Koenig2022-01-10 15:53:55 -0800
commit3131c0daad41dea78bede4517669e376c41a325a (patch)
tree55baed78a6a01f80ff3952a08233ca553a19964f /src/test/scala/chiselTests/ResetSpec.scala
parentdd36f97a82746cec0b25b94651581fe799e24579 (diff)
Apply scalafmt
Command: sbt scalafmtAll
Diffstat (limited to 'src/test/scala/chiselTests/ResetSpec.scala')
-rw-r--r--src/test/scala/chiselTests/ResetSpec.scala19
1 files changed, 9 insertions, 10 deletions
diff --git a/src/test/scala/chiselTests/ResetSpec.scala b/src/test/scala/chiselTests/ResetSpec.scala
index 7a5d444d..fe0273b3 100644
--- a/src/test/scala/chiselTests/ResetSpec.scala
+++ b/src/test/scala/chiselTests/ResetSpec.scala
@@ -35,10 +35,9 @@ class AbstractResetDontCareModule extends RawModule {
bulkAggPort <> DontCare
}
-
class ResetSpec extends ChiselFlatSpec with Utils {
- behavior of "Reset"
+ behavior.of("Reset")
it should "be able to be connected to DontCare" in {
ChiselStage.elaborate(new AbstractResetDontCareModule)
@@ -75,7 +74,7 @@ class ResetSpec extends ChiselFlatSpec with Utils {
assert(inst.rst.isInstanceOf[chisel3.ResetType])
io.out := inst.out
})
- sync should include ("always @(posedge clk)")
+ sync should include("always @(posedge clk)")
val async = compile(new Module {
val io = IO(new Bundle {
@@ -87,27 +86,27 @@ class ResetSpec extends ChiselFlatSpec with Utils {
assert(inst.rst.isInstanceOf[chisel3.ResetType])
io.out := inst.out
})
- async should include ("always @(posedge clk or posedge rst)")
+ async should include("always @(posedge clk or posedge rst)")
}
- behavior of "Users"
+ behavior.of("Users")
they should "be able to force implicit reset to be synchronous" in {
val fir = ChiselStage.emitChirrtl(new Module with RequireSyncReset {
- reset shouldBe a [Bool]
+ reset shouldBe a[Bool]
})
- fir should include ("input reset : UInt<1>")
+ fir should include("input reset : UInt<1>")
}
they should "be able to force implicit reset to be asynchronous" in {
val fir = ChiselStage.emitChirrtl(new Module with RequireAsyncReset {
- reset shouldBe an [AsyncReset]
+ reset shouldBe an[AsyncReset]
})
- fir should include ("input reset : AsyncReset")
+ fir should include("input reset : AsyncReset")
}
"Chisel" should "error if sync and async modules are nested" in {
- a [ChiselException] should be thrownBy extractCause[ChiselException] {
+ a[ChiselException] should be thrownBy extractCause[ChiselException] {
ChiselStage.elaborate(new Module with RequireAsyncReset {
val mod = Module(new Module with RequireSyncReset)
})