diff options
| author | Jack Koenig | 2021-12-01 14:49:34 -0800 |
|---|---|---|
| committer | GitHub | 2021-12-01 14:49:34 -0800 |
| commit | 392ea3c9b5b04e374eeb1bf3b0d87ac9fbf45513 (patch) | |
| tree | 3b7e8a9713ffb5269b6c87f166a46dd235c6927d /no-plugin-tests/src/test | |
| parent | cdb02ea4412b4cb3b42f6c78a4bae76b43be9d64 (diff) | |
Require the chisel3 compiler plugin (#2271)
As the chisel3 compiler plugin is now required, we can delete unused
code for reflective autoclonetype as well as the noPluginTests.
Diffstat (limited to 'no-plugin-tests/src/test')
11 files changed, 0 insertions, 100 deletions
diff --git a/no-plugin-tests/src/test/scala/chisel3/testers/TestUtils.scala b/no-plugin-tests/src/test/scala/chisel3/testers/TestUtils.scala deleted file mode 120000 index f3ebcbce..00000000 --- a/no-plugin-tests/src/test/scala/chisel3/testers/TestUtils.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../../src/test/scala/chisel3/testers/TestUtils.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/AutoClonetypeSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/AutoClonetypeSpec.scala deleted file mode 120000 index ae3a7597..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/AutoClonetypeSpec.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/AutoClonetypeSpec.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/AutoNestedCloneSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/AutoNestedCloneSpec.scala deleted file mode 120000 index 4ff3aa4f..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/AutoNestedCloneSpec.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/AutoNestedCloneSpec.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/BetterNamingTests.scala b/no-plugin-tests/src/test/scala/chiselTests/BetterNamingTests.scala deleted file mode 120000 index 0d362f3c..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/BetterNamingTests.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/BetterNamingTests.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/CatSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/CatSpec.scala deleted file mode 120000 index 2fb2d6a7..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/CatSpec.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/util/CatSpec.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/ChiselSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/ChiselSpec.scala deleted file mode 120000 index 9b257eeb..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/ChiselSpec.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/ChiselSpec.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/CustomBundle.scala b/no-plugin-tests/src/test/scala/chiselTests/CustomBundle.scala deleted file mode 120000 index 006a2dbd..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/CustomBundle.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/CustomBundle.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/InstanceNameSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/InstanceNameSpec.scala deleted file mode 120000 index e8eca65f..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/InstanceNameSpec.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/InstanceNameSpec.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/MissingCloneBindingExceptionSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/MissingCloneBindingExceptionSpec.scala deleted file mode 100644 index 28673495..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/MissingCloneBindingExceptionSpec.scala +++ /dev/null @@ -1,55 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 - -package chiselTests -import Chisel.ChiselException -import chisel3.stage.ChiselStage -import org.scalatest._ -import org.scalatest.matchers.should.Matchers - -class MissingCloneBindingExceptionSpec extends ChiselFlatSpec with Matchers with Utils { - behavior of "missing cloneType in Chisel3" - ( the [ChiselException] thrownBy extractCause[ChiselException] { - import chisel3._ - - class Test extends Module { - class TestIO(w: Int) extends Bundle { - val a = Input(Vec(4, UInt(w.W))) - } - - val io = IO(new TestIO(32)) - } - - class TestTop extends Module { - val io = IO(new Bundle {}) - - val subs = VecInit(Seq.fill(2) { - Module(new Test).io - }) - } - - ChiselStage.elaborate(new TestTop) - }).getMessage should include("make all parameters immutable") - - behavior of "missing cloneType in Chisel2" - ( the [ChiselException] thrownBy extractCause[ChiselException] { - import Chisel._ - - class Test extends Module { - class TestIO(w: Int) extends Bundle { - val a = Vec(4, UInt(width = w)).asInput - } - - val io = IO(new TestIO(32)) - } - - class TestTop extends Module { - val io = IO(new Bundle {}) - - val subs = Vec.fill(2) { - Module(new Test).io - } - } - - ChiselStage.elaborate(new TestTop) - }).getMessage should include("make all parameters immutable") -} diff --git a/no-plugin-tests/src/test/scala/chiselTests/NamingAnnotationTest.scala b/no-plugin-tests/src/test/scala/chiselTests/NamingAnnotationTest.scala deleted file mode 120000 index 6933a290..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/NamingAnnotationTest.scala +++ /dev/null @@ -1 +0,0 @@ -../../../../../src/test/scala/chiselTests/NamingAnnotationTest.scala
\ No newline at end of file diff --git a/no-plugin-tests/src/test/scala/chiselTests/NoPluginBundleSpec.scala b/no-plugin-tests/src/test/scala/chiselTests/NoPluginBundleSpec.scala deleted file mode 100644 index b73be483..00000000 --- a/no-plugin-tests/src/test/scala/chiselTests/NoPluginBundleSpec.scala +++ /dev/null @@ -1,36 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 - -package chiselTests - -import chisel3._ -import chisel3.stage.ChiselStage -import chisel3.testers.BasicTester - - - -trait BundleSpecUtils { - - class BundleBaz(val w: Int) extends Bundle { - val baz = UInt(w.W) - // (if we don't have the val on the val w: Int then it is an Exception) - // Check that we get a runtime deprecation warning if we don't have this: - // override def cloneType = (new BundleBaz(w)).asInstanceOf[this.type] - } - -} - -class NoPluginBundleSpec extends ChiselFlatSpec with BundleSpecUtils with Utils { - - "No override def cloneType" should "give a runtime deprecation warning without compiler plugin" in { - class MyModule extends MultiIOModule { - val in = IO(Input(new BundleBaz(w = 3))) - val out = IO(Output(in.cloneType)) - } - val (log, _) = grabLog( - ChiselStage.elaborate(new MyModule()) - ) - log should include ("warn") - log should include ("deprecated") - log should include ("The runtime reflection inference for cloneType") - } -} |
