summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorJack Koenig2021-06-21 16:58:15 -0700
committerGitHub2021-06-21 23:58:15 +0000
commit942218432a80de1546ed53a4cfb10a8683ff4f27 (patch)
treea3dfb4882fae1c5b69e1ca63d7886633ab808ad6
parent44c7fca8a303bc787634014502acd835c6b5d334 (diff)
Bump scalatest to 3.2.9 (#1965)
Co-authored-by: mergify[bot] <37929162+mergify[bot]@users.noreply.github.com>
-rw-r--r--build.sbt2
-rw-r--r--build.sc2
-rw-r--r--src/test/scala/chiselTests/ChiselSpec.scala5
-rw-r--r--src/test/scala/chiselTests/ExtModuleImpl.scala7
4 files changed, 8 insertions, 8 deletions
diff --git a/build.sbt b/build.sbt
index 2da958bc..cc4dee41 100644
--- a/build.sbt
+++ b/build.sbt
@@ -70,7 +70,7 @@ lazy val chiselSettings = Seq (
name := "chisel3",
libraryDependencies ++= Seq(
- "org.scalatest" %% "scalatest" % "3.1.2" % "test",
+ "org.scalatest" %% "scalatest" % "3.2.9" % "test",
"org.scalatestplus" %% "scalacheck-1-14" % "3.2.2.0" % "test",
"com.lihaoyi" %% "os-lib" % "0.7.8",
),
diff --git a/build.sc b/build.sc
index 8c5a258d..19ddc7ed 100644
--- a/build.sc
+++ b/build.sc
@@ -107,7 +107,7 @@ class chisel3CrossModule(val crossScalaVersion: String) extends CommonModule wit
override def scalacPluginClasspath = m.scalacPluginClasspath
override def ivyDeps = m.ivyDeps() ++ Agg(
- ivy"org.scalatest::scalatest:3.1.2",
+ ivy"org.scalatest::scalatest:3.2.9",
ivy"org.scalatestplus::scalacheck-1-14:3.2.2.0",
) ++ m.treadleIvyDeps
diff --git a/src/test/scala/chiselTests/ChiselSpec.scala b/src/test/scala/chiselTests/ChiselSpec.scala
index 37c4a2b7..a4192c5e 100644
--- a/src/test/scala/chiselTests/ChiselSpec.scala
+++ b/src/test/scala/chiselTests/ChiselSpec.scala
@@ -13,7 +13,8 @@ import org.scalacheck._
import org.scalatest._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.freespec.AnyFreeSpec
-import org.scalatest.matchers.should._
+import org.scalatest.propspec.AnyPropSpec
+import org.scalatest.matchers.should.Matchers
import org.scalatestplus.scalacheck.ScalaCheckPropertyChecks
import java.io.ByteArrayOutputStream
@@ -94,7 +95,7 @@ abstract class ChiselFlatSpec extends AnyFlatSpec with ChiselRunners with Matche
abstract class ChiselFreeSpec extends AnyFreeSpec with ChiselRunners with Matchers
/** Spec base class for property-based testers. */
-abstract class ChiselPropSpec extends PropSpec with ChiselRunners with ScalaCheckPropertyChecks with Matchers {
+abstract class ChiselPropSpec extends AnyPropSpec with ChiselRunners with ScalaCheckPropertyChecks with Matchers {
// Constrain the default number of instances generated for every use of forAll.
implicit override val generatorDrivenConfig: PropertyCheckConfiguration =
diff --git a/src/test/scala/chiselTests/ExtModuleImpl.scala b/src/test/scala/chiselTests/ExtModuleImpl.scala
index e0a76201..c6cd4a9f 100644
--- a/src/test/scala/chiselTests/ExtModuleImpl.scala
+++ b/src/test/scala/chiselTests/ExtModuleImpl.scala
@@ -8,12 +8,11 @@ import chisel3._
import chisel3.experimental.ExtModule
import chisel3.stage.{ChiselGeneratorAnnotation, ChiselStage}
import chisel3.util.{HasExtModuleInline, HasExtModulePath, HasExtModuleResource}
-import firrtl.FirrtlExecutionSuccess
import firrtl.options.TargetDirAnnotation
import firrtl.stage.FirrtlCircuitAnnotation
import firrtl.transforms.BlackBoxNotFoundException
-import org.scalacheck.Test.Failed
-import org.scalatest.{FreeSpec, Matchers, Succeeded}
+import org.scalatest.freespec.AnyFreeSpec
+import org.scalatest.matchers.should.Matchers
//scalastyle:off magic.number
@@ -102,7 +101,7 @@ class UsesMissingExtModuleResource extends RawModule {
val foo = Module(new ExtModuleResourceNotFound)
}
-class ExtModuleImplSpec extends FreeSpec with Matchers {
+class ExtModuleImplSpec extends AnyFreeSpec with Matchers {
"ExtModule can have verilator source implementation" - {
"Implementations can be contained in-line" in {