blob: dfce447f17da25d54b21bcf5c3b8195a2c951ff8 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
|
// SPDX-License-Identifier: Apache-2.0
package chiselTests.experimental
import chisel3._
import chisel3.util.Valid
import chisel3.stage.ChiselStage.emitChirrtl
import chisel3.experimental.FlatIO
import chiselTests.ChiselFlatSpec
class FlatIOSpec extends ChiselFlatSpec {
behavior.of("FlatIO")
it should "create ports without a prefix" in {
class MyModule extends RawModule {
val io = FlatIO(new Bundle {
val in = Input(UInt(8.W))
val out = Output(UInt(8.W))
})
io.out := io.in
}
val chirrtl = emitChirrtl(new MyModule)
chirrtl should include("input in : UInt<8>")
chirrtl should include("output out : UInt<8>")
chirrtl should include("out <= in")
}
it should "support bulk connections between FlatIOs and regular IOs" in {
class MyModule extends RawModule {
val in = FlatIO(Input(Valid(UInt(8.W))))
val out = IO(Output(Valid(UInt(8.W))))
out := in
}
val chirrtl = emitChirrtl(new MyModule)
chirrtl should include("out.bits <= bits")
chirrtl should include("out.valid <= valid")
}
it should "dynamically indexing Vecs inside of FlatIOs" in {
class MyModule extends RawModule {
val io = FlatIO(new Bundle {
val addr = Input(UInt(2.W))
val in = Input(Vec(4, UInt(8.W)))
val out = Output(Vec(4, UInt(8.W)))
})
io.out(io.addr) := io.in(io.addr)
}
val chirrtl = emitChirrtl(new MyModule)
chirrtl should include("out[addr] <= in[addr]")
}
}
|