summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
Diffstat (limited to 'src')
-rw-r--r--src/test/scala/chiselTests/AutoClonetypeSpec.scala41
1 files changed, 41 insertions, 0 deletions
diff --git a/src/test/scala/chiselTests/AutoClonetypeSpec.scala b/src/test/scala/chiselTests/AutoClonetypeSpec.scala
index 5d2cd496..353ae58c 100644
--- a/src/test/scala/chiselTests/AutoClonetypeSpec.scala
+++ b/src/test/scala/chiselTests/AutoClonetypeSpec.scala
@@ -6,6 +6,8 @@ import chisel3._
import chisel3.testers.TestUtils
import chisel3.util.QueueIO
import chisel3.stage.ChiselStage.elaborate
+import chisel3.experimental.AutoCloneType
+import scala.collection.immutable.ListMap
class BundleWithIntArg(val i: Int) extends Bundle {
val out = UInt(i.W)
@@ -72,6 +74,25 @@ class InheritingBundle extends QueueIO(UInt(8.W), 8) {
val error = Output(Bool())
}
+class RecordAutoCloneType[T <: Data](gen: T) extends Record with AutoCloneType {
+ lazy val elements = ListMap("value" -> gen)
+ // This is a weird thing to do, but as only Bundles have these methods, it should be legal
+ protected def _elementsImpl: Iterable[(String, Any)] = elements
+ protected def _usingPlugin = false
+}
+
+// Records that don't mixin AutoCloneType should still be able to implement the related methods
+// NOTE: This is a very weird thing to do, don't do it.
+class RecordWithVerbotenMethods(w: Int) extends Record {
+ lazy val elements = ListMap("value" -> UInt(w.W))
+ override def cloneType: this.type = (new RecordWithVerbotenMethods(w)).asInstanceOf[this.type]
+ // Verboten methods
+ protected def _usingPlugin = false
+ protected override def _cloneTypeImpl = this.cloneType
+
+ protected def _elementsImpl: Iterable[(String, Any)] = Nil
+}
+
class AutoClonetypeSpec extends ChiselFlatSpec with Utils {
"Bundles with Scala args" should "not need clonetype" in {
@@ -400,4 +421,24 @@ class AutoClonetypeSpec extends ChiselFlatSpec with Utils {
}
elaborate(new MyModule)
}
+
+ it should "support Records that mixin AutoCloneType" in {
+ class MyModule extends Module {
+ val gen = new RecordAutoCloneType(UInt(8.W))
+ val in = IO(Input(gen))
+ val out = IO(Output(gen))
+ out := in
+ }
+ elaborate(new MyModule)
+ }
+
+ it should "support Records that don't mixin AutoCloneType and use forbidden methods" in {
+ class MyModule extends Module {
+ val gen = new RecordWithVerbotenMethods(8)
+ val in = IO(Input(gen))
+ val out = IO(Output(gen))
+ out := in
+ }
+ elaborate(new MyModule)
+ }
}