summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/ParameterizedModule.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/chiselTests/ParameterizedModule.scala')
-rw-r--r--src/test/scala/chiselTests/ParameterizedModule.scala40
1 files changed, 0 insertions, 40 deletions
diff --git a/src/test/scala/chiselTests/ParameterizedModule.scala b/src/test/scala/chiselTests/ParameterizedModule.scala
deleted file mode 100644
index 3ad054f8..00000000
--- a/src/test/scala/chiselTests/ParameterizedModule.scala
+++ /dev/null
@@ -1,40 +0,0 @@
-// SPDX-License-Identifier: Apache-2.0
-
-package chiselTests
-
-import chisel3._
-import chisel3.testers.BasicTester
-
-class ParameterizedModule(invert: Boolean) extends Module {
- val io = IO(new Bundle {
- val in = Input(Bool())
- val out = Output(Bool())
- })
- if (invert) {
- io.out := !io.in
- } else {
- io.out := io.in
- }
-}
-
-/** A simple test to check Module deduplication doesn't affect correctness (two
- * modules with the same name but different contents aren't aliased). Doesn't
- * check that deduplication actually happens, though.
- */
-class ParameterizedModuleTester() extends BasicTester {
- val invert = Module(new ParameterizedModule(true))
- val noninvert = Module(new ParameterizedModule(false))
-
- invert.io.in := true.B
- noninvert.io.in := true.B
- assert(invert.io.out === false.B)
- assert(noninvert.io.out === true.B)
-
- stop()
-}
-
-class ParameterizedModuleSpec extends ChiselFlatSpec {
- "Different parameterized modules" should "have different behavior" in {
- assertTesterPasses(new ParameterizedModuleTester())
- }
-}