diff options
Diffstat (limited to 'src/test/scala/chiselTests/Padding.scala')
| -rw-r--r-- | src/test/scala/chiselTests/Padding.scala | 43 |
1 files changed, 0 insertions, 43 deletions
diff --git a/src/test/scala/chiselTests/Padding.scala b/src/test/scala/chiselTests/Padding.scala deleted file mode 100644 index 7950c203..00000000 --- a/src/test/scala/chiselTests/Padding.scala +++ /dev/null @@ -1,43 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 - -package chiselTests - -import chisel3._ -import chisel3.stage.ChiselStage - -class Padder extends Module { - val io = IO(new Bundle { - val a = Input(UInt(4.W)) - val asp = Output(SInt(8.W)) - val aup = Output(UInt(8.W)) - }) - io.asp := io.a.asSInt - io.aup := io.a.asUInt -} - -/* -class PadsTester(c: Pads) extends Tester(c) { - def pads(x: BigInt, s: Int, w: Int) = { - val sign = (x & (1 << (s-1))) - val wmask = (1 << w) - 1 - val bmask = (1 << s) - 1 - if (sign == 0) x else ((~bmask | x) & wmask) - } - for (t <- 0 until 16) { - val test_a = rnd.nextInt(1 << 4) - poke(c.io.a, test_a) - step(1) - expect(c.io.asp, pads(test_a, 4, 8)) - expect(c.io.aup, test_a) - } -} - */ - -class PadderSpec extends ChiselPropSpec { - - property("Padder should elaborate") { - ChiselStage.elaborate { new Padder } - } - - ignore("PadderTester should return the correct result") {} -} |
