summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/ExtModule.scala
diff options
context:
space:
mode:
Diffstat (limited to 'src/test/scala/chiselTests/ExtModule.scala')
-rw-r--r--src/test/scala/chiselTests/ExtModule.scala9
1 files changed, 4 insertions, 5 deletions
diff --git a/src/test/scala/chiselTests/ExtModule.scala b/src/test/scala/chiselTests/ExtModule.scala
index 5d5b51f9..0349d180 100644
--- a/src/test/scala/chiselTests/ExtModule.scala
+++ b/src/test/scala/chiselTests/ExtModule.scala
@@ -2,17 +2,16 @@
package chiselTests
-import java.io.File
-
-import org.scalatest._
import chisel3._
import chisel3.experimental._
import chisel3.testers.BasicTester
-import chisel3.util._
// Avoid collisions with regular BlackBox tests by putting ExtModule blackboxes
// in their own scope.
package ExtModule {
+
+ import chisel3.experimental.ExtModule
+
class BlackBoxInverter extends ExtModule {
val in = IO(Input(Bool()))
val out = IO(Output(Bool()))
@@ -72,7 +71,7 @@ class ExtModuleSpec extends ChiselFlatSpec {
elaborate(new Module {
val io = IO(new Bundle { })
val m = Module(new ExtModule.BlackBoxPassthrough)
- assert(chisel3.experimental.DataMirror.modulePorts(m) == Seq(
+ assert(DataMirror.modulePorts(m) == Seq(
"in" -> m.in, "out" -> m.out))
})
}