summaryrefslogtreecommitdiff
path: root/src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala
diff options
context:
space:
mode:
authorJack Koenig2021-12-08 14:21:44 -0800
committerGitHub2021-12-08 14:21:44 -0800
commit08271081e4af2025fc6c6af97511fd110ef65e5c (patch)
tree891f9505ebf9515d3f5fc7205cdbdd7bfc0466bb /src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala
parente85bfebb5d661de41f9ccac300fb48bf92840cfe (diff)
Implement DataViews for Seq and Tuple (#2277)
* DataProducts for Seq and Tuple2-10 in DataProduct companion object * DataViews for Seq and Tuple 2-10 in DataView companion object * HWTuple2-10 Bundles in chisel3.experimental * Implicit conversions from Seq to Vec and Tuple to HWTuple in chisel3.experimental.conversions
Diffstat (limited to 'src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala')
-rw-r--r--src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala3
1 files changed, 1 insertions, 2 deletions
diff --git a/src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala b/src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala
index 92091631..a17b0f40 100644
--- a/src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala
+++ b/src/test/scala/chiselTests/experimental/DataViewTargetSpec.scala
@@ -4,8 +4,8 @@ package chiselTests.experimental
import chisel3._
import chisel3.experimental.dataview._
+import chisel3.experimental.conversions._
import chisel3.experimental.{ChiselAnnotation, annotate}
-import chisel3.stage.ChiselStage
import chiselTests.ChiselFlatSpec
object DataViewTargetSpec {
@@ -127,7 +127,6 @@ class DataViewTargetSpec extends ChiselFlatSpec {
}
it should "support annotating views that cannot be mapped to a single ReferenceTarget" in {
- import HWTuple._
class MyBundle extends Bundle {
val a, b = Input(UInt(8.W))
val c, d = Output(UInt(8.W))